A semiconductor wafer undergoes a wide range of processes before it is transformed from a bare silicon wafer to one populated with millions of transistor circuits. Such processes include Physical or Chemical Vapor Deposition, (PVD, CVD), Chemical-Mechanical Planarization (CMP), Plasma Etch, Rapid Thermal Processing (RTP), and photolithography.  As feature sizes keep shrinking, process control  plays an increasingly important role in each of these processes.  A model-based control approach is an effective means of designing commercial controllers for advanced semiconductor equipment. We will give an overview of the applications of advanced control in the semiconductor industry.  It is our experience that the best models for control design borrow heavily from the physics of the process.  The manner in which these models are used for a specific control application depends on the performance goals.  In some cases such as RTP and lithography, the closed-loop control depends entirely on having very good physical models of the system.  For other processes such as CMP, physical models have  to be combined with empirical models or are entirely empirical.  The resulting multivariable controllers may be  in-situ feedforward-feedback or run-to-run controllers, or a combination thereof.  The three case studies that are presented in this paper (RTP, CMP, and lithography) are representative of the leading edge applications of advanced control in the semiconductor industry.

error: Content is protected !!