A semiconductor wafer undergoes a wide range of processes before it is transformed from a bare silicon wafer to one populated with millions of transistor circuits. Such processes include physical or chemical vapor deposition, (PVD, CVD), chemical-mechanical planarization (CMP), plasma etch, rapid thermal processing (RTP), and photolithography. As feature sizes keep shrinking, process control plays an increasingly important role in each of these processes. We have found the model-based approach to be an effective means of designing commercial controllers for both semiconductor and advanced materials processing. It is our experience that the best models for control design borrow heavily from the physics of the process. The manner in which these models are used for a specific control application depends on the performance goals. In some cases (e.g., RTP), the closed-loop control depends entirely on having very good physical models of the system. For other processes, physical models have to be combined with empirical models or are entirely empirical. The resulting controller may be in-situ feedforward-feedback or run-to-run controller, or a combination thereof. The three case studies that are presented in this tutorial session (RTP, CMP, and PVD) are representative of the applications in this industry. Highlights of the session include physical modeling, model reduction and sensor selection, and feedback and run-to-run controller design.

error: Content is protected !!